运行 VHDL 设计的多个测试平台

Running multiple testbenches for VHDL designs

每当我创建 VHDL 设计时,我往往会有很多模块。然后,这些模块中的每一个都连接到一个主文件,因此一切都被合成了。但我想为这些模块中的每一个编写单独的测试台,并为全局流程编写一个。如果我可以对 link 所有这些测试台一起做一些事情并使它们连续 运行 ,以便在一个 运行 中测试我的整个设计,那就太好了。我怎么能这样做?我喜欢使用 GHDL 和断言。是否可以创建一个超级测试平台?或者 shell 遍历它们的脚本会更好吗?

简短的回答是 VUnit

由开源 VHDL 模拟器正式支持GHDL and comes also with OSVVM - 一组用于随机值生成和测试覆盖的测试平台帮助程序包。

您应该考虑使用 GHDL 0.34dev(从源代码构建),因为它对 vunit 和 OSVVM 进行了一些修复。

所有资源都可以在 GitHub 存储库中找到: