如何添加用于 ModelSim 仿真的 altera 库?

How to add altera lib for simulation with ModelSim?

在使用顶层文件 (VHDL) 和 Altera 特定 PLL 编译项目(使用 Quartus)后,我尝试使用 ModelSim 对其进行仿真。

当我开始 RTL 仿真时,我在文件夹 work(在库 Window)中看到了我的顶级文件,但没有看到 PLL 的 Altera 实例(Verilog 文件) .

问题: 我如何设置 Quartus 或 ModelSim 以便查看我的顶级文件 AND PLL 的 Altera 实例?

A​​ltera 特定模块(如 PLL)最容易使用 ModelSim Altera Edition(随 Altera Quar5us 提供)进行仿真,它包含许多预编译库,其中包含 Altera 特定模块的包和实体。如果尚未使用此 ModelSim Altera 版本。您可以在下方的 ModelSim Altera 入门版(免费)中查看 Altera 库。

然后您只需将所有模块编译到一个库中,包括 Altera Quartus 为 PLL 生成的包装器,然后包装器应该引用具有 PLL 仿真模型的可用 Altera 库。

如果这不起作用,请将您的代码缩减为显示问题的示例,并可以在此处发布;参见 Minimal, Complete, and Verifiable example

像编译任何 vhld/verilog 源代码一样编译:

vcom *.vhd
vlog *.v
vlog -sv *.sv

仿真模型可用于安装 Quartus。例如,假设您在 QUARTUS_DIR 安装了 Quartus,要编译一些 VHDL 仿真模型,您会这样做:

vlib work;
vcom -work work QUARTUS_DIR/eda/sim_lib/altera_mf_components.vhd
vcom -work work QUARTUS_DIR/eda/sim_lib/altera_mf.vhd

大多数情况下需要注意编译顺序。 您可以创建一个 Altera 模型仿真库,然后将其映射,这样您就不必为每个项目编译相同的代码。