如何在verilog中输出定点值?

how to out fixed point value in verilog?

我正在尝试在 verilog 中计算定点值。 通常,我使用这种方法(我不知道它叫什么) 例如,

output = 0.248*5
output = ((0.248 << 8) * 5 ) >> 8;

但在他的例子中,输出只有整数。

我想得到定点小数部分

verilog中如何处理定点值?

用8位小数计算并显示:

integer out;

initial begin
  out = ((0.248 * 2**8) * 5 );
  $display("%f", $itor(out)*(2.0**-8.0));
end