yosys 在 ABC 通过时失败(在 counter.v 演示中)

yosys fails at ABC pass (on counter.v demo)

我希望有人能帮助我...

这是我第一次接触yosys。首先,我正在尝试 运行 与 Clifford 在他的演示文稿中解释的完全相同的演示。我在以下位置下载了演示:https://github.com/cliffordwolf/yosys/tree/master/manual/PRESENTATION_Intro

yosys 运行 在 ABC 通行证上发出以下消息:

12. Executing ABC pass (technology mapping using ABC).

12.1. Extracting gate netlist of module `\counter' to `<abc-temp-dir>/input.blif'..
Extracted 6 gates and 12 wires to a netlist network with 4 inputs and 2 outputs.

12.1.1. Executing ABC.
Running ABC command: <yosys-exe-dir>/yosys-abc -s -f <abc-temp-dir>/abc.script 2>&1
ABC: ABC command line: "source <abc-temp-dir>/abc.script".
ABC: 
ABC: + read_blif <abc-temp-dir>/input.blif 
ABC: + read_lib -w /home/boris/Documents/Self Learning/yosys_synthesys/mycells.lib 
ABC: usage: read_lib [-SG float] [-M num] [-dnvwh] <file>
ABC:               reads Liberty library from file
ABC:    -S float : the slew parameter used to generate the library [default = 0.00]
ABC:    -G float : the gain parameter used to generate the library [default = 0.00]
ABC:    -M num   : skip gate classes whose size is less than this [default = 0]
ABC:    -d       : toggle dumping the parsed library into file "*_temp.lib" [default = no]
ABC:    -n       : toggle replacing gate/pin names by short strings [default = no]
ABC:    -v       : toggle writing verbose information [default = yes]
ABC:    -v       : toggle writing information about skipped gates [default = yes]
ABC:    -h       : prints the command summary
ABC:    <file>   : the name of a file to read
ABC: ** cmd error: aborting 'source <abc-temp-dir>/abc.script'
ERROR: Can't open ABC output file `/tmp/yosys-abc-KDGya6/output.blif'.
[boris@E7440 yosys_synthesys]$ 

我看过上面报错的文件位置,里面没有output.blif:

[boris@E7440 yosys_synthesys]$ ll /tmp/yosys-abc-KDGya6/
total 12K
-rw-rw-r--. 1 boris boris 542 Jul  5 11:21 abc.script
-rw-rw-r--. 1 boris boris 526 Jul  5 11:21 input.blif
-rw-rw-r--. 1 boris boris 852 Jul  5 11:21 stdcells.genlib
[boris@E7440 yosys_synthesys]$ 

顺带一提,这里有一些 system/tools 可能与调试相关的信息:

Linux E7440.DELL 4.4.13-200.fc22.x86_64 #1 SMP Wed Jun 8 15:59:40 UTC 2016 x86_64 x86_64 x86_64 GNU/Linux

Yosys 0.6+141 (git sha1 080f95f, gcc 5.3.1 -fPIC -Os)

UC Berkeley, ABC 1.01 (compiled Mar  8 2015 01:00:49)

问题已解决...

解= 更改了运行目录:

/home/boris/Documents/Self Learning/yosys_synthesys/mycells.lib

至:

/home/boris/Documents/SelfLearning/yosys_synthesys/mycells.lib

经验教训= ABC 工具不接受 path/file 名称中的 space 个字符。