Post合成模拟波形不可见

Post synthesis simulation wave forms not visible

我正在对一个设计进行 post 合成器仿真,我有测试平台和 verilog 代码以及综合脚本 .. 这给了我 verilog 网表文件。 我能够在终端上看到预合成模拟 - 波形和 $monitor 值转储。同样的 $monitor 值转储还告诉我 post 综合模拟工作正常。

但是我看不到 post 合成波形

我正在通过我的测试台转储一个 .vcd 文件,以便通过 $monitor 查看模拟波形。

工具:合成的设计愿景 用于编译的 NCVerilog

`timescale 1ns  / 10 ps
module CLA_16_4_tb ();

reg  [15:0] A=0, B=0 ;
wire [15:0] Sum;
wire Cout;
reg  reset,clock;

initial begin : A_TB
           A = 0;
      #10  A = 16'h00FF;
      #30  A = 16'h0000;
      #30  A = 16'h80FF;
      #30  A = 16'h0000;
      #30  A = 16'h00FF;
      #30  A = 16'h0000;
      #30  A = 16'h1111;
    end

initial begin : B_TB
          B = 0;
      #10 B = 16'hFF01;
      #30 B = 16'h0000;
      #30 B = 16'h8080;
      #30 B = 16'h0000;
      #30 B = 16'hFF80;
      #30 B = 16'h0000;
      #30 B = 16'h2222;
    end

initial begin : reset_TB
           reset = 0; 
       #2  reset = 1;
       #5  reset = 0;
       #55  reset = 1;
       #5   reset = 0;
       #55  reset = 1;
       #5   reset = 0;
       #55  reset = 1;
       #5   reset = 0;
       #45 $finish;
    end

initial begin : clock_TB
            clock = 0;
        #5  clock = 1;
  forever   #5  clock = ~clock;
    end

CLA_16_4 U1 (A, B, Sum, Cout, clock, reset);

initial begin 
        $monitor("TIME :",$time,"   HEX VALUES : a_inp = %h    b_inp = %h    s_out = %h    c_out = %h",A,B,Sum,Cout);
    end

initial begin
        $dumpfile("CLA_16_4_tb.vcd");
        $dumpvars(0,CLA_16_4_tb);
end 
endmodule

当您在 运行 进行 post 综合网表仿真时,您可能在 ncverilog 命令行中缺少 +access+rwc,因此可能看不到波形转储中的信号。