"Majority"函数的Verilog实现

Verilog implementation of "Majority" function

我想我对这个 Majority 函数的了解还不够多,无法理解它...我只是不知道从哪里开始。我还不是 Verilog 专家大声笑

它被定义为 x、y 和 z 的函数,所以我认为该函数是三个二进制输入位的函数。除此之外我迷路了。

任何help/direction赞赏。

用布尔术语来说,如果超过一半的输入为真,则多数门为真。 https://en.wikipedia.org/wiki/Majority_function

如果你仔细想想那些简单的术语,比 3 输入的例子要简单得多。只有 3 种情况会导致结果为真。

(X 和 Y)或(Y 和 Z)或(X 和 Z)

您可能希望将其扩展到任意数量的输入,这就是等式的用途。我的 verilog 相当生疏,所以我不记得了,但我认为如果你愿意的话,你可以用二进制输入做那种数学运算。