Cocotb VHDL 需要 FLI

Cocotb VHDL need for FLI

我目前正在搭建基于Cocotb的验证环境

我刚刚发现,如果使用 VHDL,Cocotb 提供的示例在我的情况下不起作用,因为我的模拟器没有 FLI(外语界面)。 我收到以下消息:

Error (suppressible): (vsim-FLI-3155) The FLI is not enabled in this version of ModelSim.

(我有Altera Starter版本的ModelSim,确实没有FLI)

令我吃惊的是该示例适用于 Verilog。据我了解,Verilog使用的不是FLI,而是VPI。

如果 FLI 是强制性的,有人可以解释一下吗?Cocotb 中有什么功能可以启用它?

另一个问题:如果我的顶部是在 Verilog 中,但我的其余设计是在 VHDL 中怎么办?它应该有效吗?

Cocotb 有一个用于 FLI, VPI and VHPI. E.g. if you use Cocotb with GHDL 的内部抽象层 (GPI),它只使用 VPI。您可以尝试针对 VPI 而不是 FLI 编译 Cocotb,并加载 VPI 库而不是 FLI 库。