如何将 Modelsim 中的“.v”程序的 32 位 "reg" 写入“.txt”文件?

How to write a 32 bit "reg" of a ".v" program in Modelsim to a ".txt" file?

我需要在 Modelsim 中编写一个 32 位 "reg" 的“.v”程序到一个 txt 类型的文件中。该变量在每个 CLK 周期都在变化,我需要以十进制格式存储它的每个值。 该程序需要在一行中写入每个值。

如果变量名为var,而您的文本文件的名称为"file.txt",您可以使用以下代码在每个时钟上升沿写入文件(参数"w" 表示打开文件进行写入) :

integer fileH; // file handler

initial begin
    fileH = $fopen ("file.txt", "w");
end

always @(posedge clock)
    $fwrite (fileH, "value : %d \n", var);