VHDL - BCD 到二进制输入缓冲区 - 显示结果的问题

VHDL - BCD to Binary input buffer - issues displaying the result

我正在使用 Vivado 2014.2 将 BCD 的 VHDL 代码写入可用于计算器或组合锁的二进制输入缓冲区。

我的方法很简单。做 x*10 它与 x(2 + 8) = x*2 + x*8.

相同

x*2 = 1 左移 (2^1 = 2)

x*8 = 3 次左移 (2^3 = 8)

在添加输入之前移动并添加输出缓冲区(tempC)。这样做是为了在从 null 开始时输入的第一个数字不会乘以 10。

我的代码在 artix 7 fpga 上编译和运行,但我在确保输出缓冲区 (tempC) 正常工作时遇到问题。它拒绝输出任何数据,但我不确定为什么。

我可能将这些值加在一起是错误的,但我不认为是这样。也许我正在转换为错误的数据类型?

非常感谢任何帮助。

-- Engineer: greatgamer34
-- 
-- Create Date: 01/25/2017 04:57:02 PM
-- Design Name: 
-- Module Name: buff - Behavioral


library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

use ieee.numeric_std.all;

entity buff is
Port ( Data : in STD_LOGIC_VECTOR (3 downto 0); ----4bit BCD value input
       Clock : in STD_LOGIC;
       Reset : in STD_LOGIC;
       Output : out STD_LOGIC_VECTOR (15 downto 0);
       aout : out STD_LOGIC_VECTOR (6 downto 0));-- 7 segment display output             for current state.
end buff;

architecture Behavioral of buff is
type states is (state0, state1, state2, state3);
signal currentstate, nextstate: states;
signal tempA: STD_LOGIC_VECTOR (15 downto 0);---used to store 'Data' for addition.
signal tempB: STD_LOGIC_VECTOR (15 downto 0);---used for x2('Data').
signal tempC: STD_LOGIC_VECTOR (15 downto 0);---used as output register.
signal tempD: STD_LOGIC_VECTOR (15 downto 0);---used for sending data to LED's.
signal tempE: STD_LOGIC_VECTOR (15 downto 0);---used for x8('Data')
begin
Process(Reset,clock)
Begin
if(Reset = '1') then
    tempC <= "0000000000000000"; --clear tempC
    tempA <= "0000000000000000"; --clear tempA
    currentstate <= state0; -------reset state to 0
elsif(clock'event and clock = '1') then  
     output <= (tempD);--dispaly the output of the buffer
      currentstate<=nextstate;  -- advance states   
end if;

end process;

process(currentstate)
begin
case currentstate is

when state0 =>
   tempA(3 downto 0) <= Data; -- load in 4 bit data intoi 16 bit register
   tempD <= (tempA); --output the input data(used for debugging)
    nextstate <= state1;
    aout <= not "1111110"; -- output on the 7 seg the number 0


when state1 =>
    tempB <= tempC(14 downto 0) & '0';   --left shift tempC(the output     register) save to tempB; this is the x2 multiplication
    tempD <= (tempA); -- output the input data(used for debugging)
    nextstate <= state2; 
    aout <= not "0110000"; -- output on the 7 seg the number 1

when state2 =>
     tempE <= tempC(12 downto 0) & "000"; --left shift tempC(the output      register) three times save to tempE; this is the x8 multiplication
    --tempC <=std_logic_vector( unsigned(tempE) + unsigned(tempD));   (TESTING)
     tempC <=std_logic_vector( ('0' & unsigned(tempE(14 downto 0))) + ('0' &   unsigned(tempD(14 downto 0)))); --add the first 15 bits of tempD and tempE(this      is how we multiply by 10)
    tempD <= (tempC); -- output the x10  output register
    nextstate <= state3; 
    aout <= not "1101101" ;  -- output on the 7 seg the number2 

when state3 =>
   -- tempC <= ('0' & tempC(14 downto 0)) + ('0' & tempA(14 downto 0)); (TESTING)
    tempC <= std_logic_vector( ('0' & unsigned(tempC(14 downto 0))) + ('0' & unsigned(tempA(14 downto 0)))); --add the 'Data' to the x10 shifted number.
    tempD <= (tempC);
    nextstate <= state0; 
    aout <= not "1111001"; -- output on the 7 seg the number3
 end case;
 end process;  
end behavioral; 

回答:

tempC 在计时过程中被重置,然后在组合过程中获得分配的新值。 不允许在两个不同的进程中为一个信号分配一个值。组合过程的敏感性列表也缺少信号。

观察:

  1. 为信号使用逻辑名称 tempX 非常令人困惑。我也无法想象你的 BCD 电路会被称为 BUFF ;)
  2. 检查组合过程的敏感度列表。
  3. Google关于如何构建状态机
  4. 对您的设计进行仿真非常重要(尤其是对于较大的设计) 在线查看不同的教程,例如 Xilinx Vivado 2015.2 模拟教程

调试愉快

好的,在一些评论和答案的帮助下,我能够让它工作。以下是使用的代码。

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

use ieee.numeric_std.all;

entity buff is
Port ( Data : in STD_LOGIC_VECTOR (3 downto 0); ----4bit BCD value input
       Clock : in STD_LOGIC;
       Reset : in STD_LOGIC;
       Output : out STD_LOGIC_VECTOR (15 downto 0);
       aout : out STD_LOGIC_VECTOR (6 downto 0));-- 7 segment display output for current state.
end buff;

architecture Behavioral of buff is
type states is (state0, state1, state2, state3, state4);
signal currentstate, nextstate: states;
signal tempA: STD_LOGIC_VECTOR (3 downto 0);---used to store 'Data' for addition.
signal tempB: STD_LOGIC_VECTOR (15 downto 0);---used for x2('Data').
signal tempC: STD_LOGIC_VECTOR (15 downto 0);---used as output register.
signal tempD: STD_LOGIC_VECTOR (15 downto 0);---used for sending data to LED's.
signal tempE: STD_LOGIC_VECTOR (15 downto 0);---used for x8('Data')
signal tempF: STD_LOGIC_VECTOR (15 downto 0);
begin
Process(Reset,clock)
Begin
if(Reset = '1') then
    currentstate <= state4;
    Output <= "0000000000000000"; -------reset state
elsif(clock'event and clock = '1') then  
      Output <= tempD ;--display the output of the buffer
      currentstate <= nextstate;  -- advance states   
end if;

end process;

process(currentstate)
begin
case currentstate is

when state0 =>
   tempA <= Data; -- load in 4 bit data intoi 16 bit register
    tempD(3 downto 0) <= tempA; --output the input data(used for debugging)
    nextstate <= state1;
    aout <= not "1111110"; -- output on the 7 seg the number 0


when state1 =>
    tempB <= (tempC(14 downto 0) & "0");   --left shift tempC(the output register) save to tempB; this is the x2 multiplication
    tempD <= (tempB); -- output the input data(used for debugging)
    nextstate <= state2; 
    aout <= not "0110000"; -- output on the 7 seg the number 1

when state2 =>
    tempE <= tempC(12 downto 0) & "000"; --left shift tempC(the output register) three times save to tempE; this is the x8 multiplication
    --tempF <=std_logic_vector( unsigned(tempE) + unsigned(tempB)); --(TESTING)
    tempF <=std_logic_vector( ('0' & unsigned(tempE(14 downto 0))) + ('0' & unsigned(tempB(14 downto 0)))); --add the first 15 bits of tempD and tempE(this is how we multiply by 10)
    tempD <= tempE; -- output the x10  output register
    nextstate <= state3; 
    aout <= not "1101101" ;  -- output on the 7 seg the number2 

 when state3 =>
    --tempC <=std_logic_vector( unsigned(tempC) + unsigned(tempA));
    tempC <= std_logic_vector( ('0' & unsigned(tempF(14 downto 0))) + ("000000000000" & unsigned(tempA))); --add the 'Data' to the x10 shifted number.
    tempD <= tempC;
    nextstate <= state0; 
    aout <= not "1111001"; -- output on the 7 seg the number3

  when state4 =>
    tempC <= "0000000000000000";
    tempA <= "0000";
    tempB <= "0000000000000000";
    tempD <= "0000000000000000";
    tempE <= "0000000000000000";
    tempF <= "0000000000000000";
    nextstate <= state0;
    aout <= not "0110011";

  end case;
 end process;  
 end behavioral;