在 Vivado 2016.4 版本上无法 运行 'tcl' 文件

Unable to run 'tcl' file on Vivado 2016.4 version

我正在尝试 运行 最初为 2014.4 配置的 .tcl 文件在 2016.4 版本的 Vivado 上。但是我收到以下错误:

    while executing
"create_bd_cell -type ip -vlnv xilinx.com:ip:mig mig_0 "
    (procedure "create_root_design" line 111)
    invoked from within
"create_root_design """
    (file "all.tcl" line 405)

tcl 文件使用 'xcku040-ffva1156-2' 部分并尝试访问 IP 'mig',我认为在更高版本中是 renamed/changed。有什么解决方法吗?

到目前为止我完成的步骤:

  1. 版本号改为2016.4
  2. 尝试更换目标板。
  3. 尝试在同一块板上使用备用 IP 进行迁移。
  4. 在 2016.4 和 2015.4 版本上都试过了

None 到目前为止这些都有效

附上“.tcl”文件以供参考:all.tcl

由于 Vivado Design Suite 的每个版本升级,部分都会被重命名或删除,因此不可能 运行 用于较新版本中较早版本的 .tcl 文件。所以我 运行 在 Xilinx 社区论坛上进行了上述查询并找到了解决方法:

运行 此脚本在以前的版本(本例中为 2014)上生成设计,然后使用下一个主要版本(2015 版本)打开相同的设计。 2015 版本会自动建议升级到 discontinued/renamed 个 IP。重复相同的操作以获得 2016 版本。这是完成这项工作的唯一方法。还要不断检查Vivado Design Suite自动升级后IP的核心功能是否相同