VHDL 文件系统操作综合

VHDL File system operations synthesis

我有一个关于 VHDL 合成系统的问题,更准确地说是关于 IO 文件操作的问题。我的问题是综合系统是否会在写入 VHDL 代码时对 write()、read() 等文件操作进行综合?例如在这个 VHDL 代码中:http://www.csee.umbc.edu/portal/help/VHDL/samples/file_io.vhdl

我无法想象这些操作转换成芯片上的门...那么它是如何工作的?

谢谢大家! :)

汤姆.

你说的很对,文件操作一般不能合成。

一个可能的例外:打开一个文件,将其内容读入 ROM,然后关闭该文件。诀窍是这一切都发生在返回数组的函数中,由初始化子句调用(即在声明中)并在 期间 综合执行,因此结果是 ROM,而不是硬件处理文件!请注意,综合工具可能实际上(还)不支持这一点,尽管实施它没有实际困难。 (我不知道哪些合成器工具支持它)。

那么为什么 VHDL 甚至有文件呢?对于测试平台,练习您的设计并记录测试结果。