如何使 $display 消息显示在 SimVision 控制台中

How to make $display messages show up in SimVision console

我必须使用 Cadence 程序套件来完成 Verilog class 作业,我想知道为什么我创建的非常简单的模拟测试台中的 $display 语句不产生在 SimVision 控制台中输出 window.

我的工作流程是这样的: 我创建了一个具有以下功能视图的单元格:

module tesbench ( );
  initial begin
    $display("RUNNING TESTBENCH");
    $finish;
  end
endmodule

然后我调用了 NC-Verilog,初始化和网表并模拟了 打开 SimVision 控制台的单元格 window。 运行 然后模拟产生以下输出:

ncsim> run
Simulation complete via $finish(1) at time 0 FS + 0
/home/path/to/verilog/file.v:4      $finish;
ncsim> 

因此 $display 输出未显示。这似乎是一个非常简单的问题,但我终究无法弄清楚我做错了什么。

好的,所以我刚刚能够与一位导师交谈,他告诉我 这是一个已知的安装问题,目前没有解决方法(程序 运行 在大学管理的服务器上)。