在 Quartus 中编译 Verilog HDL

Compiling Verilog HDL in Quartus

我正在使用 Quartus 运行 仿真和分析 Verilog 代码。我搜索了 Internet 和 Stack Overflow,但似乎没有找到我的问题的答案。

我想 运行 我在 Quartus 中打开带有 Verilog 代码的 RTL 查看器,但是当我打开 Verilog 代码本身时,编译和其他选项都处于非活动状态。我注意到,当我打开一个 QPF 文件时,它似乎可以正常工作。

我是否需要创建 QPF 文件才能使用 RTL 查看器?如果是这样,我如何使用现有的 Verilog 代码来做到这一点?

为了能够使用 RTL Viewer,您需要在 Quartus 软件中 运行 至少 Analysis & Elaboration 任务。此任务根据您的逻辑(即代码)生成预综合网表(比较:Intel® Quartus® Prime Standard Edition Handbook,第 791 页)),由 RTL Viewer 使用。除非您创建了 Quartus 项目,否则您将无法 运行 此任务。

QPF 文件就是 Quartus 工程文件。您可以创建新项目并简单地添加您之前创建的代码。只需按 Project > Add/Remove Files in Project