如何使用 Modelsim 在编译时定义泛型值?

How to define generic value at compile time using Modelsim?

是否可以使用 Modelsim 在编译时定义一个 generic 值?

我需要编译一个包含 generate 语句的文件,这些语句根据我的 generic 布尔值关闭和打开。

我试过下面的编译语句没有成功,其中is_primary是布尔变量名:

vcom -work work -is_primary=true file_name.vhd

我找到了类似的模拟语法 (vsim),但我没有找到为 vcom 定义 generic 的方法。有什么建议吗?

泛型只是通过泛型列表传递给实体的常量。 您不会编译一个顶层,然后编译另一个顶层,您编译一个顶层,然后编译带有两个实例的测试平台。您将一个连接到 true,另一个连接到 false。完成。

U0:实体顶层 通用地图(is_primary => true) 端口映射(在此处插入端口);

U1:实体顶层 通用地图(is_primary => false) 端口映射(在此处插入端口);

在你的层次结构中,但是在详细说明之前你不能检查你的泛型。 运行 你的 sim 1 ps 然后去检查它们。