将 LED 分配给寄存器输出(verilog)

Assign leds to register output (verilog)

如何将(物理上的)LED 分配给 [8 位] 寄存器输出,我的意思是

module reg4
(
input  [4:0] key,  
output [4:0] led   
);
wire clk;
wire [4:1] d = ~ key [4:1];
global g (.in (~ key [0]), .out (clk));

reg [4:1] q;
always @(posedge clk)
q <= d;
assign led [0] = clk;
assign led [1] = q [1];
assign led [2] = q [2];
assign led [3] = q [3];
assign led [4] = q [4];
endmodule

此代码可编译,但不起作用

您可以在 Verilog 之外执行此操作。它是 vendor-specific,涉及您所使用的电路板的知识。

例如,对于 Altera,您可以在 Quartus 套件中编辑 "Assignment editor" 中的连接,您可以在其中指定顶层模块 inputs/outpus 和芯片引脚之间的关系,包括引脚配置(i/o 标准,驱动强度,上拉使用等)。

如果您要在某个评估板或开发套件上测试您的代码,请查阅该板的手册,或查找 empty/template 已设置所有分配的项目,因为您不应随意分配引脚。通过错误配置引脚分配,您可能会损坏已经焊接在电路板上的硬件,包括 fpga。