硬件加速算术逻辑单元 (ALU) Linux 在使用 ARM 处理器 (HPS) 的 DE1-SoC 上的应用

Hardware accelerated Arithmetic Logic Unit (ALU) Linux application on DE1-SoC using ARM processor (HPS)

我已经为 ALU 创建了一个 Verilog 文件,它具有以下操作:加、减、与和重置。然后我用 Avalon 内存从属接口封装了 ALU,这样 ARM 处理器就可以通过 H2F 轻量级桥专门访问它。然后在 mmap() 完成后,用户可以选择操作并为 data1 和 data2 输入值。结果将显示在终端上。 ALU 中每个寄存器的偏移量为 4 位。这意味着 ALU 中寄存器的基地址有 4 位跨度。

我遇到的问题是我似乎无法将值写入 ALU 寄存器(操作码、数据 1、数据 2)。我已经使用 mmap() 函数完成了正确的映射。然而,我得到的结果总是0。

ALU verilog代码如下所示。

module alu_avalon(
input clk,
input[1:0] opcode,
input[31:0] dataA,
input[31:0] dataB,
output[31:0] alu_result
);


assign alu_result =     (opcode == 0) ? 0               :
                        (opcode == 1) ? dataA + dataB   :
                        (opcode == 2) ? dataA - dataB   :
                                        dataA & dataB;

endmodule

然后使用 Avalon 内存映射从接口封装 ALU,如下面的 verilog 编码所示。

module alu_avalon_top (
input reset,
input clk,
input chipselect,
input [1:0]address,
input write,
input [31:0]writedata,
output [31:0]readdata
);

wire [31:0]lineA;
wire [31:0]lineB;
wire [1:0]opcode;
wire [31:0]result_alu;

alu_avalon inst3 (
                    .clk(clk),
                    .opcode(opcode),
                    .dataA(lineA),
                    .dataB(lineB),
                    .alu_result(result_alu)
                    );

alu_interface inst2(

                    .clk        (clk),
                    .reset      (reset),
                    .chipselect (chipselect),
                    .address    (address),
                    .writedata  (writedata),
                    .readdata   (readdata),
                    .alu_result (result_alu),
                    .data1      (lineA),
                    .data2      (lineB),
                    .opcode     (opcode),
                    .write      (write)
                    );


endmodule

module alu_interface (
input reset,
input clk,
input chipselect,
input [1:0]address,
input write,
input [31:0]writedata,
output reg [31:0]readdata,
output reg[1:0]opcode,
output reg[31:0]data1,
output reg[31:0]data2,
input[31:0] alu_result

);

always @ (posedge clk or negedge reset)
begin

    if (reset == 0)
    begin
        readdata <= 0;
        data1 <= 0;
        data2 <= 0;
    end
    else 
    begin
        if(chipselect == 1 && write == 1)
        begin
            case (address)
                2'b00:      opcode <= writedata[1:0];
                2'b01:      data1 <= writedata;
                2'b10:      data2 <= writedata;
                default:    readdata <= alu_result;
            endcase
        end
    end
end

endmodule

我已经使用 Qsys 添加了自定义 IP,并将 avalon slave 连接到 H2F 轻量级桥接 AXI master。

Qsys 互连: Qsys interconnect map

Linux 应用程序的 C 编码

#define HW_REGS_BASE ( ALT_LWFPGASLVS_OFST )
#define HW_REGS_SPAN ( 0x00200000 )
#define HW_REGS_MASK ( HW_REGS_SPAN - 1 )

volatile unsigned long *aluMap = NULL;
void *virtual_base;
int main(void){

    int fd;
    printf("Open memory map\n");
    if( ( fd = open( "/dev/mem", ( O_RDWR | O_SYNC ) ) ) == -1 ) {
        printf( "ERROR: could not open \"/dev/mem\"...\n" );
        return( 1 );
    }

    virtual_base = mmap( NULL, HW_REGS_SPAN , ( PROT_READ | PROT_WRITE ), MAP_SHARED, fd, HW_REGS_BASE );

    if( virtual_base == MAP_FAILED ) {
        printf( "ERROR: mmap() failed...\n" );
        close( fd );
        return( 1 );
    }
    aluMap = (unsigned char *)(virtual_base + ALU8_0_BASE);
    printf("ALU addr: %x\n", aluMap);
    volatile unsigned int *opcode =(unsigned int*)(aluMap + 0x0);
    volatile unsigned int *data1 = (unsigned int*)(aluMap + 0x4);
    volatile unsigned int *data2 = (unsigned int*)(aluMap + 0x8);
    volatile unsigned int *result= (unsigned int*)(aluMap + 0xc);
    printf("op:%x\ndat1:%x\ndat2:%x\nresult:%x\n", opcode,data1,data2,result);
    int op;
    int dat1;
    int dat2;
    printf("operation code: ");
    scanf(" %d", &op);
    *opcode = op;
    printf("data1: ");
    scanf(" %d", &dat1);
    *data1 = dat1;
    printf("data2: ");
    scanf(" %d", &dat2);
    *data2 = dat2;
    int z = *result;
    printf("The result is %d\n", z);
    return 0;
}

输出为ALU output

有人可以告诉我我在编码或连接方面做错了什么吗?已经对此进行了一个月的故障排除...IP 寄存器的内存映射是否与没有寄存器的 IP 不同...或者我是否需要编写一个 ALU 内核驱动程序以便 Linux 可以识别硬件 ALU?

如有任何建议,我们将不胜感激。

非常感谢在线社区帮助我解决了我的问题。

正如@Unn 指出的那样,

  • Write signal should be used only for write transaction
  • Read signal should be used only for read transaction
  • chipselect is deprecated in newer QSYS

我已经编辑了 verilog 编码(单独的读写事务并删除了芯片选择),瞧,ALU 工作得很好。

always @ (posedge clk or negedge reset)
begin

    if (reset == 0)
    begin
        readdata <= 0;
        data1 <= 0;
        data2 <= 0;
    end
    else 
    begin
        if(write == 1)
        begin
            case (address)
                2'b00:  opcode <= writedata[1:0];
                /* OPCODE
                 1: ADD
                 2: SUB
                 3: AND   */
                2'b01:  data1 <= writedata;
                2'b10:  data2 <= writedata;
                default:    ;
            endcase
        end
        else if (read == 1)
        begin
            case (address)
                2'b00:  readdata <= opcode;
                2'b01:  readdata <= data1;
                2'b10:  readdata <= data2;
                2'b11:  readdata <= alu_result;
                default: readdata <= 0;
            endcase
        end
    end
end

必须做的另一项更改是在 main.c 程序中用 #define HW_REGS_BASE ( ALT_STM_OFST ) 替换 #define HW_REGS_BASE ( ALT_LWFPGASLVS_OFST )

下面是ALU输出端

ALU output

特别感谢 Rocketboard 社区