我们可以在系统 c 的敏感列表中给出输出端口变量吗?

can we give ouput port variable in sensitive list in system c?

正如我们所知,在敏感列表中我们可以给一个输入端口变量,例如:

  sc_in<bool> a,b;
  sc_out<bool>out;

  SC_THREAD(my_thread);
  sensitive<<a;// it works normal ..

但是我们可以写吗?

  SC_THREAD(my_thread);
  sensitive<<out;

是的,您可以将 sc_out 端口添加到敏感度。