Eclipse 中的激情

Sigasi in Eclipse

我刚刚在 Eclipse 中安装了 Sigasi Studio 插件(版本:Eclipse IDE 2018-12)。当我尝试启动它以制作新的 VHDL 文件时,我得到以下信息:

The selected wizard could not be started. org/eclipse/lsp4j/Range (occurred in com.sigasi.hdt.vhdl.ui.VhdlExecutableExtensionFactory) org/eclipse/lsp4j/Range

请问我该如何解决? 提前谢谢你。

多亏了Sigasi的支持,我才得以解决问题。他们写信给我:

The lsp4j plugin version is to recent for the xtext version that ships with Sigasi Studio 4.2. This issue has been resolved in the preview channel of release 4.3. Therefore - if you wish to use the plugin version of Sigasi Studio - I recommend to install the 4.3 preview following the steps explained on http://insights.sigasi.com/tech/preview.html.

就是这样。现在,我想用 GHDL(作为编译器,当我 运行 项目)和 GTKWAVE(广告波查看器)配置 Sigasi。我怎样才能做到这一点?

提前致谢。

SIGASI + GHDL + GTKWAVE(合二为一)

这是一个非常强大的组合,你可以设置。注意我使用 macOS 10.13.6:

步骤 1

确保你已经安装了 GHDL 和 GTKWAVE 输入

$ which gtkwave
/usr/local/bin/gtkwave
$ which ghdl
/usr/local/bin/ghdl

步骤 2

打开 Sigasi 并创建一个新项目并创建一个额外的 compile.sh 文件:

#!/bin/sh

PROJECT_NAME="PWM_Generator"
PROJECT_NAME_TB="PWM_Generator_tb"
WORKING_DIR="/Users/imeksbank/Dropbox/UMHDL"

/usr/local/bin/ghdl -a --workdir=$WORKING_DIR/work.ghdl $WORKING_DIR/$PROJECT_NAME/$PROJECT_NAME.vhd;
/usr/local/bin/ghdl -a --workdir=$WORKING_DIR/work.ghdl $WORKING_DIR/$PROJECT_NAME/$PROJECT_NAME_TB.vhd;
/usr/local/bin/ghdl -e --workdir=$WORKING_DIR/work.ghdl $PROJECT_NAME_TB;
/usr/local/bin/ghdl -r --workdir=$WORKING_DIR/work.ghdl $PROJECT_NAME_TB --vcd=$WORKING_DIR/$PROJECT_NAME/simulation.vcd;

现在,请注意,为每个项目创建自己的变量,例如

  • PROJECT_NAME
  • PROJECT_NAME_TB
  • WORKING_DIR

我总是使用 Dropbox 来实现这种方法,因为这样我也可以通过 Windows 访问。
当然,有可能在 Sigasi -> 外部工具配置器 -> 程序 -> compile_sh -> 环境 中创建自定义变量,将它们传递给 compile.sh独立。这里得自己处理了 =)

步骤 3

设置你的外部工具配置让Sigasi Studio执行shell脚本并创建.vcd文件gtkwave:

单击当前创建的项目(在我的例子中是 PWM_Generator)。
之后点击 运行 -> 外部工具 -> 外部工具配置 ....
然后转到左侧边栏,在 Program 下创建您自己的锚点,例如 compile_sh

终于找到路线了:

  • 计划
  • --compile_sh

现在通过自定义创建的 shell 脚本扩展此锚点:

Main->Location 得到 ${workspace_loc:/PWM_Generator/compile.sh}
Main->Working Directory 获取 ${workspace_loc:/PWM_Generator}

点击应用然后 运行 就这样了!!!在此之后,您可以通过 运行 -> 外部工具 -> compile_sh 编写 VHDL/Verilog 并编译,已创建 .vcd.在您的项目中出现 gtkwave 文件,只需双击它即可启动。 =)