有没有办法用 Quartus 13.1 中的大学计划 VWF 监视内部信号的状态?

Is there a way to monitor the state of an internal signal with a University Program VWF in Quartus 13.1?

我有一个 VHDL 有限状态机。我创建了一个类似于 TYPE t_SM_Main IS (s_Idle, s_Start, s_TX1, s_TX0, s_Cleanup); 的内部 TYPE 我还在 Quartus 中创建了一个大学程序 VWF,用于我的 VHDL 代码的模拟响应。

是否可以让在 ARCHITECTURE 中定义的信号对模拟器可见,而无需使用 ENTITY PORT 映射将其导出? (这也会带来问题,因为 TYPE 定义需要在 PORT 定义之前。)

另一种表述方式是,我想知道是否有办法将 ENTITY 视为白框而不是黑框。

我正在使用 Quartus II 64 位 13.1,但未启用任何更新的 VHDL 修订版。

更新 根据 "’two-process’ design method"variable 是更好的选择。是否可以在大学计划 VWF 中获取 variable 的状态?

在仿真波形编辑器中select:

  1. Edit > Insert Node or Bus

  1. Node Finder...

  1. 在弹出的新 window 中,将过滤器更改为 Design Entry (all names)(默认值:Pins: all

  1. 列出信号并选择您要分析的信号。

图片取自Quartus II Tutorial