如何在 vhdl 代码中随机化数组的元素?

how to randomize the elements of a array in vhdl code?

我有一个 table 具有已知数量的元素。我想随机化它的元素,以便每次显示它时,它的元素都以随机顺序显示。在 vhdl 中使用 Fpga stratix3

没有简单的 VHDL 语言解决方案来在硬件上生成随机数。 要生成伪随机数,您可以使用 LFSR。参见示例:

要生成真随机数,您必须创建环形振荡器随机数生成器。 参见示例: https://security.stackexchange.com/questions/81843/ring-oscillator-true-random-number-generator-trng-vs-physically-unclonable-fu

对于仿真,built-in 在 VHDL 中支持随机数。参见示例: Generating random integer in vhdl

然后您可以使用生成的随机数来随机化您的数组。