如何查看 Atom 中的 VHDL 语法错误?

How to view VHDL syntax errors in Atom?

我想使用 Atom 进行 VHDL 开发。 language-vhdl 插件提及,刚刚添加的语法突出显示。但是,没有显示语法错误。

如何查看 Atom 中的语法错误?

最后,我发现 linter-vhdl 符合我的要求。