Doxygen 无法正确生成代码跨度或代码块

Doxygen doesn't correctly generate code spans or code blocks

我的文档中的降价文件中有以下降价代码段:

```cpp
/**
 * Input Description:
 *
 * @depth_test: true
 * @topology: line list
 * @line_width: <float value>
*/
```

The `Input Description:` header is necesary and it is case sensitive. Also, there can only be one such comment per shader group and it must be put on the vertex shader of that group.

The valid values for `@topology:` are:

这是它在常规降价浏览器中的格式:

这是它在 Doxygen 中的结果:

文档: https://www.doxygen.nl/manual/markdown.html#md_codeblock 说代码可以通过缩进至少 4 个空格来格式化(实际上这确实“有效”,除了没有语言特定的语法突出显示。)

我想我可以接受,但它也明确表示支持代码跨度,并且代码跨度的格式不正确。

是否可以在 doxygen 中对代码块进行语法高亮显示? 如何修复代码跨度?

如果代码块带有三个反引号 (```),doxygen 将该部分视为代码块,类似于使用 SOURCE_BROWSER = YES / 代码片段生成的文件 (\snippet ) 或内联代码块,因此遵循 STRIP_CODE_COMMENTS 的设置。将 STRIP_CODE_COMMENTS 设置为 YES 时,与 doxygen 相关的注释块(如 /**)不会在输出中仅显示正常注释块(如 /*)。设置STRIP_CODE_COMMENTS = NO即可解决问题。

来自文档 (https://www.doxygen.nl/manual/config.html#cfg_strip_code_comments):

STRIP_CODE_COMMENTS

Setting the STRIP_CODE_COMMENTS tag to YES will instruct doxygen to hide any special comment blocks from generated source code fragments. Normal C, C++ and Fortran comments will always remain visible.

STRIP_CODE_COMMENTS = NO的输出: