我在柜台附近的 VHDL 代码中遇到语法错误
I'm getting an syntax error in my VHDL code near counter
我正在尝试模拟脉冲宽度调制 (PMW) 波形发生器并在 ISE 中遇到语法错误。检查 fuse.xmsgs 发现它就在柜台附近。有人可以指出语法错误吗?
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.numeric_std.all;
entity pwm_gen is
port
(
clock, reset : in std_logic;
width : in std_logic_vector(7 downto 0);
pwm : out std_logic);
end pwm_gen;
architecture bhv of pwm_gen is
type counter is range 0 to 255;
counter count := 0;
begin
process (clock)
begin
if (reset = '1') then
count <= 0;
elsif (clock'event and clock = '1') then
if (count <= width) then
count <= count + 1;
pwm <= '1';
else
count <= count + 1;
pwm <= '0';
end if;
end if;
end process;
end bhv;
counter count := 0;
这是非法语法,因为您没有声明对象 class(信号、常量、变量)。您需要使用格式:
signal count : counter := 0
这也是非法的,因为您将一个整数与您没有包含包的 std_logic_vector
进行比较。您需要将 slv 转换为 unsigned
if (count <= unsigned(width)) then
最后,敏感度列表中缺少 reset
我正在尝试模拟脉冲宽度调制 (PMW) 波形发生器并在 ISE 中遇到语法错误。检查 fuse.xmsgs 发现它就在柜台附近。有人可以指出语法错误吗?
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.numeric_std.all;
entity pwm_gen is
port
(
clock, reset : in std_logic;
width : in std_logic_vector(7 downto 0);
pwm : out std_logic);
end pwm_gen;
architecture bhv of pwm_gen is
type counter is range 0 to 255;
counter count := 0;
begin
process (clock)
begin
if (reset = '1') then
count <= 0;
elsif (clock'event and clock = '1') then
if (count <= width) then
count <= count + 1;
pwm <= '1';
else
count <= count + 1;
pwm <= '0';
end if;
end if;
end process;
end bhv;
counter count := 0;
这是非法语法,因为您没有声明对象 class(信号、常量、变量)。您需要使用格式:
signal count : counter := 0
这也是非法的,因为您将一个整数与您没有包含包的 std_logic_vector
进行比较。您需要将 slv 转换为 unsigned
if (count <= unsigned(width)) then
最后,敏感度列表中缺少 reset