如何修复 Xilinx Vivado 中的 [Common 17-1293] 错误?

How to fix [Common 17-1293] error in Xilinx Vivado?

我试图 运行 在 Xilinx Vivado 中进行一些简单的行为模拟,但后来我得到了错误 -

[Common 17-1293] The path 'D:/Deepan/Text Books/internship/test/test.cache/wt' already exists, is a directory, but is not writable.

我想要的 Verilog 文件 运行 以前工作得很好,但突然就坏了。

我确保该目录具有正确的访问权限并且没有卡在只读状态,但我仍然不断收到错误。

对于 v2021.1 和 v2020.3,我一直收到相同的错误。

我想要的文件 运行 -

`timescale 1ns / 1ps

module Mealy_Sequence(
        input wire clk,
        input wire reset,
        input wire level,
        output reg tick
    );
    
    localparam  //The Mealy states
        zero = 1'b0,
        one = 1'b1;
    
    reg current_state, next_state;
    
    always  @(posedge clk, posedge reset)
    begin
        if(reset)
            current_state <= zero;
        else
            current_state <= next_state;
    end
    
    always  @(current_state, level)
    begin
        case(current_state)
            zero:   begin
                    if(level)
                        begin
                            next_state <= one;
                            tick <= 1;
                        end
                    else
                        begin
                            next_state <= current_state;
                            tick <= 0;
                        end
                    end
            one:    begin
                    if(level)
                        begin
                            next_state <= one;
                            tick <= 0;
                        end
                    else
                        begin
                            next_state <= zero;
                            tick <= 0;
                        end
                    end
        endcase
    end
    
endmodule

测试台-

`timescale 1ns / 1ps

module Sequence_Test_Mealy;

    reg clk;
    reg reset;
    reg level;
    wire tick;

    Mealy_Sequence x(
        .clk(clk),
        .reset(reset),
        .level(level),
        .tick(tick)
    );

    always #5 clk = ~clk;
    always #15 level = ~level;

    initial
    begin
        clk <= 0;
        level <= 0;
        reset <= 1;
        #10 reset <=0;
    end

endmodule

我找到了解决我自己问题的方法。 Vivado 有时不允许在文件路径中使用 space(我在 Text Books 中有一个 space)。这适用于整个项目以及导入的文件。

只需删除 space 即可解决问题。

尽管路径名中没有空格,但我仍然收到此错误。

解决了这个问题,方法是让路径变得非常小,例如,D:/a/a/a.xpr