VHDL 波形中的字符串

String in VHDL Waveform

如何打印 VHDL 波形中的字符串? -> like here

字符串与其他类型的信号没有什么不同,所以你可以这样做:

library ieee;
use ieee.std_logic_1164.all;

entity tb is
end entity;

architecture sim of tb is

  signal info : string(1 to 5);

begin

  process is
  begin
    info <= "Hello";
    wait for 1 us;
    info <= "VHDL ";
    wait for 1 us;
    info <= "world";
    wait for 1 us;
    info <= "!!!  ";
    wait for 1 us;
    wait;
  end process;

end architecture;

Intel Quartus ModelSim Starter Edition 中的模拟(免费)然后给出: