警告:只找到一个数据片段。您是否指定了有效 'From/To' 次?
Warning: Only a single slice of data found. Did you specify valid 'From/To' times?
我输入了逆变器电路的设计代码和测试平台代码。输入是 4 位,输出也是。我尝试使用以下方法显示波形:
$dumpfile("dump.vcd");
$dumpvars(1);
但是,它一直给我消息:
Warning: Only a single slice of data found. Did you specify valid
'From/To' times?
有人能帮帮我吗?
Link 我的代码:https://edaplayground.com/x/EYku
由于您的仿真没有时间流逝,因此当您指示 EDA Playground 显示波形时,您会收到警告也就不足为奇了。
为避免警告,请至少取消对您的延迟之一的注释。此外,您应该在时间 0:
调用 $dumpvars
module jinvertertb;
reg [3:0] a;
wire [3:0] y;
//Design Instance
inv jinv(a,y);
initial
begin
$dumpfile("dump.vcd");
$dumpvars;
$display ("RESULT\ta\ty");
a = 1; // Another value
$strobe(" PASS \t%d\t%d",a,y);
#100; //delay
a = 0;
$strobe(" PASS \t%d\t%d",a,y);
//#100; //delay
a = 4;
$strobe(" PASS \t%d\t%d",a,y);
//#80
end
endmodule
运行时没有警告:edaplayground
我输入了逆变器电路的设计代码和测试平台代码。输入是 4 位,输出也是。我尝试使用以下方法显示波形:
$dumpfile("dump.vcd");
$dumpvars(1);
但是,它一直给我消息:
Warning: Only a single slice of data found. Did you specify valid 'From/To' times?
有人能帮帮我吗?
Link 我的代码:https://edaplayground.com/x/EYku
由于您的仿真没有时间流逝,因此当您指示 EDA Playground 显示波形时,您会收到警告也就不足为奇了。
为避免警告,请至少取消对您的延迟之一的注释。此外,您应该在时间 0:
调用$dumpvars
module jinvertertb;
reg [3:0] a;
wire [3:0] y;
//Design Instance
inv jinv(a,y);
initial
begin
$dumpfile("dump.vcd");
$dumpvars;
$display ("RESULT\ta\ty");
a = 1; // Another value
$strobe(" PASS \t%d\t%d",a,y);
#100; //delay
a = 0;
$strobe(" PASS \t%d\t%d",a,y);
//#100; //delay
a = 4;
$strobe(" PASS \t%d\t%d",a,y);
//#80
end
endmodule
运行时没有警告:edaplayground