如何使用 Vivado 的 I/O 规划工具将端口设置为接地

How do I set a Port to Ground using Vivado's I/O Planning tool

所以,我设计了一个2位全加器,由全加器和半加器组成。我刚开始使用 Vivado 的 I/O 端口规划工具来进行引脚分配,但我 运行 遇到了问题。我的一个输入,第一位的初始 carry_in,我想设置为接地,因为它应该始终为 0。我知道我可以在我的 VHDL 代码中将它强制为 0,但有人告诉我那不是确实是正确的方法。我试图将该端口设置为 i/o 规划器中的接地引脚之一,但 Vivado 只是告诉我不能将终端放置在空引脚位置。有人知道怎么做吗?

作为一个临时解决方案,我只是将 carry_in 端口分配给一个按钮输入,我将不按下它,因此它将始终为 0。当它被编程到电路板上时,一切都会正常工作。

*使用 Vivado 2015.2 并在 ZYBO 开发板上工作。

这是顶级 2 位全加器代码:

library IEEE;
use IEEE.STD_LOGIC_1164.all;

entity full_adder_2bit is
     port(
         a : in STD_LOGIC_VECTOR (1 downto 0);
         b : in STD_LOGIC_VECTOR (1 downto 0);
         carry_in : in STD_LOGIC;
         sum : out STD_LOGIC_VECTOR (1 downto 0);
         carry_out : out STD_LOGIC
         );
end full_adder_2bit;

architecture structural of full_adder_2bit is

component full_adder is
     port(
         a : in STD_LOGIC;
         b : in STD_LOGIC;
         carry_in : in STD_LOGIC;
         sum : out STD_LOGIC;
         carry_out : out STD_LOGIC
         );
end component;

signal cin_fa1 : std_logic;

begin

    fa0: full_adder port map (a => a(0), b => b(0), carry_in => carry_in, sum => sum(0), carry_out => cin_fa1); 

    fa1: full_adder port map (a => a(1), b => b(1), carry_in => cin_fa1, sum => sum(1), carry_out => carry_out);

end structural;

这是我从 I/O 规划器那里得到的约束条件。一切正常,我只想将 carry_in 设置为接地而不是未使用的按钮。

set_property IOSTANDARD LVCMOS33 [get_ports {a[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {a[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {b[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {b[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sum[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sum[0]}]
set_property PACKAGE_PIN T16 [get_ports {a[1]}]
set_property PACKAGE_PIN P15 [get_ports {a[0]}]
set_property PACKAGE_PIN W13 [get_ports {b[1]}]
set_property PACKAGE_PIN G15 [get_ports {b[0]}]
set_property PACKAGE_PIN M15 [get_ports {sum[1]}]
set_property PACKAGE_PIN M14 [get_ports {sum[0]}]
set_property PACKAGE_PIN D18 [get_ports carry_out]
set_property IOSTANDARD LVCMOS33 [get_ports carry_out]
set_property PACKAGE_PIN Y16 [get_ports carry_in]
set_property IOSTANDARD LVCMOS33 [get_ports carry_in]

您可以将它分配给一个未使用、未连接的引脚,并在其上激活内部下拉。

但是,最好将其绑定到 VHDL 文件中的 '0'。评论 "it's not the proper way to do it" 有点值得商榷。一个全加器实体实际上应该有 carry_in 输入。然而,FPGA 顶层实际上应该只有它需要的引脚。

更好的方法是拥有一个文件(比方说,toplevel.vhd)实例化一个 full_adder_2bit 将进位绑定到 '0'。这样,full_adder_2bit 就可以保持有用的 carry_in 位,并且您的顶层将摆脱不必要的引脚。