Activating/Using ISim 工具链与 Eclipse (VHDL)

Activating/Using ISim tool chain with Eclipse (VHDL)

我正在尝试使用带有教育许可证的 Sigasi 插件在 eclipse 上编写非常基本的 VHDL - 目的是,我可以为它编写一个简单的实体和一个测试台,然后编译并模拟它Xilinx 的 ISim.

我已经安装了 Eclipse、Sigasi 和 Xilinx ISE Webpack,并尝试将 ISim 工具链添加到 Eclipse,如 Sigasi 网站所示here

看起来没问题,但它说我需要 "activate" 工具链(如下所示)

但是,当我沿着 "click here to activate now" 行驶时,我被带到了下面的碎石上 - 从那里什么也没有发生。如果我点击应用,没有任何反应,没有更多选项!

我的问题 - 我如何实际配置 ISim 以从 Eclipse 启动,是我真正想做的事情是可能的还是我误解了,如果是这样,我该怎么做。

非常感谢您的帮助!

大卫

当您启用 ISim 工具链时,Sigasi 将在您保存文件时自动使用 ISim 编译您的文件。您可以在 控制台视图

中检查输出

要开始模拟,您需要先设置一个顶层。您可以在 层次结构视图 中执行此操作。接下来,单击 模拟 按钮。

您可以在 Sigasi Studio manual

中找到更多详细信息