如何在 SystemVerilog 中重载运算符

How to overloading an operator in SystemVerilog

有人有在 SystemVerilog 中重载运算符的工作示例吗?我阅读了规范并尝试使用 Questasim 10.3 "bind"。但是没有运气。

我认为没有任何工具支持这种构造。