是否有 returns reg / logic 长度的系统 verilog 任务?

Is there a system verilog task which returns the length of a reg / logic?

从 C 中得到类似于 sizeof() 的东西会很好。不用说,我不希望它是可综合的。

$bits(variable)就是你想要的。