属性 中的 case 语句不适用于 QuestaSim 10.4B

case statement in property not working for QuestaSim 10.4B

我正在尝试编写一个 属性 带有 case 语句的直接来自 SystemVerilog 2012 LRM 的语句。

property p_rate_select (logic [1:0] rate);
    case (rate)
            2'd0 : $rose(i_ffs_rdcount == 1) |=> $fell(o_telem_fifo_ready_n);
            2'd1 : $rose(i_ffs_rdcount == 2) |=> $fell(o_telem_fifo_ready_n);
            2'd2 : $rose(i_ffs_rdcount == 3) |=> $fell(o_telem_fifo_ready_n);
            2'd3 : $rose(i_ffs_rdcount == 4) |=> $fell(o_telem_fifo_ready_n);
         default : 0;
    endcase
endproperty

使用 QuestaSim 10.4B,出现以下错误:

** Error: (vlog-13069) checker.sv(196): near "case": syntax error, unexpected case, expecting disable.

这个版本的 Questasim 不支持 case 语句吗?

您需要 Questa 10.4e 或更新版本。