How to fix Error (10170): Verilog HDL syntax error at <filename> near text "("; expecting ";"

How to fix Error (10170): Verilog HDL syntax error at <filename> near text "("; expecting ";"

我正在尝试在 Quartus II 中实例化一个 NiosII 内核并得到以下编译错误消息:

Error (10170): Verilog HDL syntax error at myNiosII_inst.v(1) near text "(";  expecting ";"

我从 Qsys 添加了 myNiosII.qipmyNiosII_inst.v 文件到我的 Quartus II 项目中。

错误与文件 myNiosII_inst.v 有关:

myNiosII u0 (
    .clk_clk         (<connected-to-clk_clk>),         //      clk.clk
    .reset_reset_n   (<connected-to-reset_reset_n>),   //    reset.reset_n
    .switches_export (<connected-to-switches_export>), // switches.export
    .leds_export     (<connected-to-leds_export>)      //     leds.export
);

在我的顶级文件 DE2_115_top.v 中实例化如下:

myNiosII u0 (
    .clk_clk         (CLOCK_50),         //      clk.clk
    .reset_reset_n   (KEY[0]),   //    reset.reset_n
    .switches_export (SW), // switches.export
    .leds_export     ({LEDR,LEDG})      //     leds.export
);

myNiosII_inst.v 是一个实例化模板——一个你应该如何使用 myNiosII 的例子——它本身不是一个源文件。您应该将其从项目源文件列表中删除。