如何将 IO 端口动态添加到 Chisel Bundle?

How to dynamically add IO ports to a Chisel Bundle?

如何动态地将输入或输出添加到 Bundle 以实现与此伪代码等效的效果。

class MyBundle extends Bundle {
  for( i <- 1 to 10) {
     val foo_<i> = UInt(i.W)
  }
}

请注意,我不仅希望创建 10 个动态端口,还希望索引值反映在端口名称和端口大小中。我认为将 MixedVec 转换为 Bundle 可能会提供与我正在寻找的类似的东西。

一种方法是使用 Record 而不是 Bundle。这是指向 Record 构造 RecordSpec.scala

的 chisel3 测试的指针

作为基于您的伪代码的示例。它看起来像这样

  class MyBundle extends Record {
    val elements = ListMap(Seq.tabulate(10) { i =>
      s"foo_$i" -> UInt(i.W)
    }:_*)
    override def cloneType: this.type = (new MyBundle).asInstanceOf[this.type]
  }