System Verilog 覆盖点和覆盖组是否适用于实际变量类型?

Do System Verilog coverpoints and covergroups work for real variable types?

我正在考虑在 Cadence 中使用覆盖点和覆盖组进行混合信号验证,以验证我写的一些受限随机 类。但是,我无法在网上找到 coverpoints 是否可以用于真实。事实上,我发现一些旧文章说它们不受支持。可以使用覆盖点来验证实数吗?如果可以,还需要什么额外的语法?

没有。 SystemVerilog LRM 第 19.5 节说

A coverage point specifies an integral expression that is to be covered.

coverpointbin结构是用等式运算定义的,实数有逼近问题。