EDA 游乐场 EPWave $dumpfile

EDA Playground EPWave $dumpfile

我正在尝试在 EDA Playground 中模拟我的设计。我使用 ModelSim(不是来自 EDA)在我的本地计算机上测试了我的设计文件和测试台文件,它是成功的。但是,我尝试对 EDA Playground 做同样的事情。它在没有 EPWave 的情况下成功编译并且 运行。当我尝试单击 'Open EPWave' 选项时,它给我一个名为

的错误

No *.vcd file found. EPWave will not open. Did you use '$dumpfile("dump.vcd"); $dumpvars;'?

我该如何解决这个问题?谢谢

我还将 link 添加到我的设计中 https://www.edaplayground.com/x/A9Rb

这是世界上最有用的错误信息;它告诉你要写什么代码。基本上,Verilog 模拟器需要你

  • 打开一个文件来存储波形信息,这就是 $dumpfile("dump.vcd"); 会;
  • 指定波形 有关您要将设计的哪些部分存储在 文件,这就是 $dumpvars; 所做的(存储所有内容,在此 例)。

您需要将这两行代码添加到初始块的开头,可以是完全独立的代码块,也可以是现有的代码块,例如第 21 行:

initial begin
    $dumpfile("dump.vcd"); $dumpvars;
    //ADDITION
    ALU_CONTROL = 5'b00100;