SV 断言:检查信号对于特定状态为高并且在该状态期间不会改变

SV Assertion: Check Signal is High for a particular State and does not change during that State

只要我处于 FSM 'FSM_WAIT' 状态,我想检查我的信号 A 是否高。如果 A 在这个状态的任何地方变低,我应该标记一个错误。断言应检查 A 在状态期间变高并始终保持高。

我试过类似这样的示例代码。

        @(posedge clk )
        disable iff (!reset)
        (fsm_state==  FSM_WAIT ) && A |-> ##Duration A;
    endproperty : p_try  

Doesn't see correct to me though, please help. Thanks

(fsm_state==FSM_WAIT ) && A |-> A until (fsm_state!=FSM_WAIT )