打开 .vcd 文件时出错。无此文件或目录
Error opening .vcd file. No such file or directory
我的 Verilog 代码存储在 C:\FA
中。共有三个文件:
FA.v, fa.vvp, TM_FA.v
我按照书中的步骤进行了操作。
- iverilog -o fa.vvp
- vvp fa.vvp
- 完成
- getwave fa.vcd &
当我用getwave fa.vcd &
模拟的时候,然后显示:
Error opening .vcd file 'fa.vcd'.
Why: No such file or directory
一开始用的是Icarus和GTKwave,后来不知道怎么改
您需要在 Verilog 测试台中添加代码以明确告诉 iverilog
创建 VCD 文件。 The iverilog documentation states:
// Do this in your test bench
initial
begin
$dumpfile("test.vcd");
$dumpvars(0,test);
end
我的 Verilog 代码存储在 C:\FA
中。共有三个文件:
FA.v, fa.vvp, TM_FA.v
我按照书中的步骤进行了操作。
- iverilog -o fa.vvp
- vvp fa.vvp
- 完成
- getwave fa.vcd &
当我用getwave fa.vcd &
模拟的时候,然后显示:
Error opening .vcd file 'fa.vcd'.
Why: No such file or directory
一开始用的是Icarus和GTKwave,后来不知道怎么改
您需要在 Verilog 测试台中添加代码以明确告诉 iverilog
创建 VCD 文件。 The iverilog documentation states:
// Do this in your test bench
initial
begin
$dumpfile("test.vcd");
$dumpvars(0,test);
end