是否可以在 Chisel 中翻转模拟值?

Is it possible to flip an Analog value in Chisel?

我正在尝试共享一个模拟值,它是两个黑盒模块之间的翻转值,但是 ~! 不是 Analog

的成员

我们如何在凿子中翻转模拟信号的值?我认为宽度不会成为问题,因为我的模拟值的宽度为 1。

我能想到的最佳解决方案是在 Verilog Blackbox 内部生成翻转值。

Chisel 仅支持 Analog 作为端口类型和 connecting/attaching/soldering 两个 Analog 连线或端口。如果您想做任何其他事情(例如逻辑、三态驱动),您需要在 BlackBox 中进行。