用于添加特定信号的 gtkwave tcl 脚本

gtkwave tcl script for adding specific signals

我有一个巨大的 VCD 文件,我将其与 gtkwave 结合使用以观察某些信号行为。我有一个存储在 .txt 文件中的信号列表,这些是我希望探测的信号。问题是,手动插入信号是一个非常漫长的过程。所以我的问题是,

有没有办法,给定 .txt 文件来编写一个 .tcl 脚本,过滤并将列表中的指定信号添加到波形编辑器?

好吧,在查阅了手册和一些 gists 之后,我发现这里似乎有一大堆 gtkwave 可以使用的指令,这些指令列在 gists gtkwave 手册(附录 E)here。所以简而言之,所有要做的就是按照以下格式编写 .tcl 脚本:

# add_waves.tcl 
set sig_list [list sig_name_a, register_name\[32:0\], ... ] # note the escaping of the [,] brackets
gtkwave::addSignalsFromList $sig_list

然后调用 gktwave 为:

gtkwave VCD_file.vcd --script=add_waves.tcl

此外,通过 tcl 中的以下语法访问 GUI 菜单选项也是可行的:

gtkwave::/Edit/<Option> <value>