如何覆盖本地参数? -GPARAM=VAL 不工作

How to override localparam? -GPARAM=VAL not working

我知道我们可以使用 vsim 的选项 -GMYPARAM=VALUE 覆盖 Verilog/SystemVerilog 中的 generic/parameter。

这不适用于 localparam,并且不允许在模块中使用 localparam 来防止值的更改。

mymod #(
  localparam A = 0  // not allowed
)(
...
);

有没有办法覆盖模块的局部参数或来自 tcl 的实例?

通常,覆盖 localparam 的唯一方法是将其更改为 parameter。但是Modelsim有一个vopt开关,global将所有localparam转换为-G选项的参数。您可以在 ModelSim/Questa 参考手册

中查找