如何在 VCD 文件中指定注释?

How to specify annotations in VCD files?

VCD 文件中,我想指定某些事件发生在特定时间。为此,我尝试定义一个单比特信号,其值几乎是时间 0,并将该值切换到 0 然后同时返回到 1 (在我的事件发生的时间)。不幸的是,使用 gtkwave 查看文件时没有显示任何内容。我怎样才能实现这种行为?

使用事件作为变量数据类型并使用 -> 强制事件发生。它会在 gtkwave 中显示为零时间的脉冲箭头。不需要时间尺度的技巧。